当前位置: 首页 > news >正文

【Verilog基础】Verilog中不可综合语句及可综合模型原则

文章目录

    • 一、Verilog语句结构可综合性
    • 二.例题
    • 三.用verilog建立可综合模型的原则

Verilog硬件描述语言有很完整的语法结构和系统,类似高级语言,这些语法结构的应用给我们的设计描述带来很多方便。但是,我们知道,Verilog是描述硬件电路的,它是建立在硬件电路的基础上的,有些语法结构是不能与实际硬件电路对应起来的,也就是说我们在把一个语言描述的程序映射成实际硬件电路中的结构时是不能实现的。(不可综合)本文就Verilog中不可综合语句及可综合模型原则进行了总结。

一、Verilog语句结构可综合性

支持综合的语法结构:

  • 常见:module、input/output/inout、posedge/negedge、always/assign、begin…end、if…else/case…default…endcase、wire/reg、integer、function、for、and/nand/or/nor/xor/xnor/not
  • 不常见:tri、aupply0、supply1、buf、bufif0、bufif1、notif0、notif1、instantitation、operators、parameter

不支持综合的语法结构:

  • 常见

相关文章:

  • 【JavaScript】期约 Promise
  • Qwen1.5大语言模型微调实践
  • 基于Springboot的社区防疫物资申报系统(有报告)。Javaee项目,springboot项目。
  • 1 回归:锂电池温度预测top2 代码部分(一) Tabnet
  • win2022服务器apache配置https(ssl)真实环境实验(避坑之作)不依赖宝塔小皮等集成环境
  • aws云靶场和一些杂记
  • vmware安装centos 7.9 操作系统
  • 请求包的大小会影响Redis每秒处理请求数量
  • [SUCTF 2019]EasySQL1 题目分析与详解
  • windows 11+docker desktop+grafana+influxDB+python写入
  • 【蓝桥杯】快读|min和max值的设置|小明和完美序列|​顺子日期​|星期计算|山
  • C语言标准库函数qsort( )——数据排序
  • Nodejs进程间通信
  • VMwareWorkStation如何添加万兆网卡,万兆网卡添加教程
  • Android-Jetpack Compose的简单运用
  • 振弦采集模块的信号检测与分析计算
  • 后端存储实战课——高速增长篇
  • [附源码]计算机毕业设计基于SpringBoot的高校课程知识库
  • 项目管理逻辑:为什么职能部门官僚主义气息浓重?
  • [附源码]计算机毕业设计现代诗歌交流平台Springboot程序
  • Android使用ListView,DrawerLayout实现简单注册功能界面
  • Java基础:Object类、常用API
  • [附源码]Python计算机毕业设计Django少儿节目智能推荐系统
  • java认证与证书
  • 【LIN总线测试】——LIN主节点网络管理测试
  • 【Autopsy数字取证篇】Autopsy案例分析报告导出
  • 5 个用于复古图像着色的开源 Python 工具
  • sql serve数据库基础入门(2)
  • ProxyChains图文教程
  • 【torch.nn.Parameter 】参数相关的介绍和使用
  • vue是怎么初始化数据并挂载的?
  • MYSQL用函数请三思